林競(jìng)
摘 要: 針對(duì)當(dāng)前運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取存在對(duì)運(yùn)動(dòng)視頻中目標(biāo)識(shí)別不準(zhǔn)確,導(dǎo)致訓(xùn)練參數(shù)提取的準(zhǔn)確度不高,提取速度慢等問(wèn)題,提出一種基于自定義外設(shè)的運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取系統(tǒng)設(shè)計(jì)方法。通過(guò)對(duì)運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取系統(tǒng)硬件平臺(tái)的選型,利用自定義外設(shè)的方法確定運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取系統(tǒng)硬件平臺(tái)構(gòu)建方案,實(shí)現(xiàn)系統(tǒng)整體框架設(shè)計(jì)。確定框架中每一模塊的功能,并對(duì)系統(tǒng)框架中自定義IP核以及XPS軟件中IP核進(jìn)行設(shè)計(jì)分析,提高提取的準(zhǔn)確性,降低提取誤差。為保證提取的質(zhì)量,還需要建立運(yùn)動(dòng)視頻中目標(biāo)對(duì)象所處的三維空間坐標(biāo)系,以及圖像二維坐標(biāo)系,實(shí)現(xiàn)運(yùn)動(dòng)視頻中目標(biāo)的坐標(biāo)系變換。建立運(yùn)動(dòng)視頻影像全局運(yùn)動(dòng)坐標(biāo)系模型,提高提取的速度,從而確定運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取的目標(biāo)函數(shù),根據(jù)目標(biāo)函數(shù),實(shí)現(xiàn)運(yùn)動(dòng)視頻中目標(biāo)的識(shí)別,從而完成運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取。實(shí)驗(yàn)結(jié)果表明,所提方法能夠準(zhǔn)確地對(duì)運(yùn)動(dòng)視頻中的目標(biāo)進(jìn)行識(shí)別,降低了訓(xùn)練參數(shù)提取的誤差,且提取速度較快。
關(guān)鍵詞: 運(yùn)動(dòng)視頻; 訓(xùn)練參數(shù); 自適應(yīng)系統(tǒng); 參數(shù)提??; 系統(tǒng)設(shè)計(jì); 目標(biāo)識(shí)別
中圖分類號(hào): TN911.73?34; TP391 文獻(xiàn)標(biāo)識(shí)碼: A 文章編號(hào): 1004?373X(2018)15?0056?05
Design of adaptive extraction system for training parameters in moving video
LIN Jing
(Gannan Normal University, Ganzhou 341000, China)
Abstract: The adaptive extraction of training parameters in sports video has the problems of inaccurate target identification in moving video, which leads to low extraction accuracy and slow extraction speed of training parameters. Therefore, a user?defined peripherals based design method of adaptive extraction system of training parameters in sports video is proposed. The type selection of the hardware platform of the adaptive extraction system for training parameters in motion video is determined, and the user?defined peripheral method is used to determine the construction scheme of system hardware platform, and realize the overall framework design of the system. The function of each module in the framework is determined, and the IP cores defined in system framework and XPS software are designed to improve the extraction accuracy and reduce the extraction error. In order to ensure the extraction quality, it is necessary to establish the three?dimensional space coordinate system of the target object in the moving video and two?dimensional coordinate system of the image to realize the coordinate system transformation of the target in the moving video. The global motion coordinate system model of the moving video image is established to improve the extraction speed, so as to determine the objective function of the adaptive extraction of training parameters in the moving video. According to the target function, the target in moving video is recognized to realize the adaptive extraction system of training parameters in the motion video. The experimental results show that the method proposed in this paper can accurately identify the target in the moving video, reduce the extraction error of the training parameters, and has fast extraction speed.
Keywords: moving video; training parameter; adaptive system; parameter extraction; system design; target recognition
運(yùn)動(dòng)視頻由于視頻中的目標(biāo)是運(yùn)動(dòng)的,對(duì)其提取區(qū)別于普通圖像提取,需要對(duì)目標(biāo)進(jìn)行識(shí)別跟蹤[1]。隨著攝影攝像技術(shù)的提高以及社會(huì)的發(fā)展,運(yùn)動(dòng)視頻的數(shù)量越來(lái)越多,對(duì)運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)進(jìn)行自適應(yīng)提取,能夠獲取運(yùn)動(dòng)視頻中的信息[2]。現(xiàn)如今,運(yùn)動(dòng)視頻來(lái)源于教育、科學(xué)、文化、安保等各個(gè)領(lǐng)域,在人們生活過(guò)程中發(fā)揮著越來(lái)越重要的作用,對(duì)運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)進(jìn)行自適應(yīng)提取受到了相關(guān)專家學(xué)者的重視[3]。目前廣泛采用的運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取方法主要是通過(guò)在運(yùn)動(dòng)視頻中檢測(cè)運(yùn)動(dòng)目標(biāo),實(shí)現(xiàn)圖像運(yùn)動(dòng)坐標(biāo)系下對(duì)應(yīng)運(yùn)動(dòng)參數(shù)的提取,通過(guò)分析凝視拍攝的特點(diǎn),將運(yùn)動(dòng)視頻看作具有全局運(yùn)動(dòng)特征的圖像,確定運(yùn)動(dòng)目標(biāo)的參數(shù),并利用小波分解的塊平均分配方法實(shí)現(xiàn)運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取[4]。這種方法由于參數(shù)抽取的處理速度快,成為當(dāng)前解決該課題的重點(diǎn)方法,然而這種方法的提取誤差較大,還需要相關(guān)專家學(xué)者研究解決[5]。
文獻(xiàn)[6]提出一種非均勻的視頻訓(xùn)練參數(shù)自適應(yīng)提取方法,通過(guò)以水槽實(shí)驗(yàn)拍攝的視頻為基礎(chǔ)資料,對(duì)其進(jìn)行去噪處理,對(duì)視頻中運(yùn)動(dòng)目標(biāo)進(jìn)行標(biāo)記,得到運(yùn)動(dòng)目標(biāo)的坐標(biāo)位置,通過(guò)給出原始視頻到提取出目標(biāo)坐標(biāo)的詳細(xì)過(guò)程,利用編程等手段確定運(yùn)動(dòng)目標(biāo)的運(yùn)動(dòng)參數(shù),從而實(shí)現(xiàn)運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取。但這種方法由于缺乏相關(guān)的理論依據(jù),導(dǎo)致提取的誤差較大。文獻(xiàn)[7]提出一種基于視皮層V1模型的運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取方法,根據(jù)生物視覺(jué)系統(tǒng)確定動(dòng)態(tài)視頻目標(biāo)的感知機(jī)制,改進(jìn)初步視皮層(V1)細(xì)胞模型,利用時(shí)空濾波器及半平方歸一化分別模擬神經(jīng)元感受野的線性與非線性特性,利用在輸出權(quán)值中加入方向選擇性調(diào)節(jié)參數(shù)得到V1細(xì)胞模型,根據(jù)該模型實(shí)現(xiàn)運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取,這種方法由于沒(méi)有對(duì)運(yùn)動(dòng)視頻進(jìn)行處理,影響提取的準(zhǔn)確性。
針對(duì)上述問(wèn)題,本文提出一種基于自定義外設(shè)的運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取系統(tǒng)設(shè)計(jì)方法,通過(guò)對(duì)自適應(yīng)提取系統(tǒng)硬件平臺(tái)進(jìn)行選型,利用自定義外設(shè)確定自適應(yīng)提取系統(tǒng)硬件平臺(tái)構(gòu)建方案,實(shí)現(xiàn)系統(tǒng)整體模塊設(shè)計(jì)。為實(shí)現(xiàn)該系統(tǒng),對(duì)系統(tǒng)中自定義IP核以及XPS(Xilinx Platform Studio)軟件中IP核進(jìn)行設(shè)計(jì),在此基礎(chǔ)上構(gòu)建運(yùn)動(dòng)視頻的坐標(biāo)系,實(shí)現(xiàn)運(yùn)動(dòng)視頻中目標(biāo)的坐標(biāo)系變換,建立運(yùn)動(dòng)視頻影像全局運(yùn)動(dòng)坐標(biāo)系模型,從而確定運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取的目標(biāo)函數(shù),根據(jù)目標(biāo)函數(shù),實(shí)現(xiàn)運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)的自適應(yīng)提取。實(shí)驗(yàn)結(jié)果表明,所提方法設(shè)計(jì)的系統(tǒng)能夠準(zhǔn)確地對(duì)運(yùn)動(dòng)視頻中的目標(biāo)進(jìn)行識(shí)別,從而保證了訓(xùn)練參數(shù)自適應(yīng)提取的質(zhì)量,提取效果較好。
為實(shí)現(xiàn)運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取系統(tǒng)設(shè)計(jì),需要對(duì)自適應(yīng)提取系統(tǒng)硬件平臺(tái)進(jìn)行構(gòu)建。本文選用的自適應(yīng)提取系統(tǒng)硬件平臺(tái)為同時(shí)具有ARM為核心的處理器系統(tǒng)以及FPGA為中心的可編程邏輯單元的AP?SoC平臺(tái)。本文利用開(kāi)發(fā)板實(shí)現(xiàn)自適應(yīng)提取系統(tǒng)硬件平臺(tái)AP?SoC中FPGA與ARM之間高性能接口的劃分,通過(guò)合理地利用這些接口進(jìn)行運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)數(shù)據(jù)的傳輸,從而提高系統(tǒng)的性能。
本文在進(jìn)行運(yùn)動(dòng)視頻參數(shù)自適應(yīng)提取系統(tǒng)設(shè)計(jì)過(guò)程中,采用一種自定義外設(shè)的系統(tǒng)硬件平臺(tái)設(shè)計(jì)方法,利用FPGA實(shí)現(xiàn)運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)特征點(diǎn)提取,并將該模塊作為硬件平臺(tái)的外設(shè)模塊,利用AXI總線將得到的提取結(jié)果傳輸?shù)教幚砥飨到y(tǒng)中。為實(shí)現(xiàn)提取的運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)特征點(diǎn)與處理器系統(tǒng)之間的數(shù)據(jù)傳輸,需要利用Xillinx的IP核和片上高性能數(shù)據(jù)端口構(gòu)建DMA通道。通過(guò)編寫(xiě)運(yùn)行于處理器系統(tǒng)的邏輯應(yīng)用程序,從而對(duì)本文設(shè)計(jì)的系統(tǒng)硬件平臺(tái)功能的正確性以及硬件加速性能的優(yōu)越性進(jìn)行分析。
本文在XPS開(kāi)發(fā)軟件中構(gòu)建運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取系統(tǒng),構(gòu)建的系統(tǒng)框圖如圖1所示。
圖1中,PS表示訓(xùn)練參數(shù)自適應(yīng)提取系統(tǒng)中ARM?cortex?A9為核心的處理器系統(tǒng);GP和HP分別表示處理器通用的主端口和高性能端口;CDMA模塊是DMA控制器IP核;Harris模塊表示Harris特征點(diǎn)提取模塊,實(shí)現(xiàn)對(duì)運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)特征點(diǎn)的提取,其中A表示訓(xùn)練參數(shù)數(shù)據(jù)的輸入緩存接口,B表示運(yùn)動(dòng)視頻中橫坐標(biāo)輸出緩存接口,C表示縱坐標(biāo)輸出緩存接口;Axi_in_ctrl,Axi_row_ctrl以及Axi_col_ctrl模塊則通過(guò)BRAM控制器IP核得到,利用該模塊可以實(shí)現(xiàn)BRMA緩存端口與AXI總線之間的連接,Axi_harris_ctrl模塊利用Xilinx外設(shè)工具實(shí)現(xiàn),該模塊可以連接Harris模塊中控制寄存器與AXI總線,其中AXI1的總線為32位,AXI2的總線是64位。
在本文設(shè)計(jì)的運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取系統(tǒng)中,自定義IP核的設(shè)計(jì)效果直接影響系統(tǒng)對(duì)訓(xùn)練參數(shù)自適應(yīng)提取的速度。本文中,自定義IP核主要由Harris模塊和Axi_harris_ctrl模塊組成。
設(shè)計(jì)中,將Harris特征點(diǎn)提取模塊導(dǎo)入XPS,XPS的創(chuàng)建和導(dǎo)入外設(shè)向?qū)鐖D2所示,實(shí)現(xiàn)代入已存在外設(shè)選項(xiàng)的選擇。
自定義IP核生成后,能夠?qū)崿F(xiàn)MPD文件的修改,其中,MPD文件是用于定義外設(shè)接口的微處理器外設(shè)規(guī)范。然后修改設(shè)計(jì)文件。該IP核添加后,能夠得到兩個(gè)相應(yīng)的vhdl文件,為實(shí)現(xiàn)端口信號(hào)與控制器寄存器之間的連接需要在Axi_harris_ctrl模塊中添加端口信號(hào)和邏輯代碼,添加的邏輯代碼如下:
--USER logic implementation added here
en <= r_en;
rst <= r_rst;
Thr <=Thr;
r_point_cnt<= point_cnt;
r_load_flag<=load_flag;
r_cflag <=cflag;
r_result_flag <=result_flag;
process(clk)
begin
if(rising_edge(clk)) then
r_en <=slv_reg0(0);
r_rest<=slv_reg1(0);
r_Thr<=slv_reg2(31 downto 0);
slv_reg3 <=r_point_cnt;
slv_reg4 (0) <=r_load_flag;
slv_reg4 (31 downto 1) <=(others=>‘0);
slv_reg5 (0) <=cflag;
slv_reg5 (31 downto 1) <=(others=>‘0);
slv_reg6 (0) <=result_flag;
slv_reg6 (31 downto 1)<―(other—>‘0);
End if;
end process;
本文設(shè)計(jì)的系統(tǒng)中使用了7個(gè)控制寄存器,其中4個(gè)分別連接模塊的端口信號(hào),剩余3個(gè)寄存器作為預(yù)留,提高了本文設(shè)計(jì)系統(tǒng)的擴(kuò)展性。
在本文設(shè)計(jì)的訓(xùn)練參數(shù)自適應(yīng)提取系統(tǒng)中,主要使用XPS軟件中AXI Center DMA IP核和AXI BRAM Control IP核,兩個(gè)IP核性能如表1,表2所示。
上述論述中,通過(guò)對(duì)自適應(yīng)提取系統(tǒng)硬件平臺(tái)進(jìn)行選型,提出一種基于自定義外設(shè)的自適應(yīng)提取系統(tǒng)構(gòu)建方案,根據(jù)該方案,設(shè)計(jì)了系統(tǒng)的總體框架,并對(duì)框架中每一模塊的功能進(jìn)行論述,對(duì)系統(tǒng)中自定義IP核以及XPS軟件中IP核進(jìn)行設(shè)計(jì),從而實(shí)現(xiàn)運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取系統(tǒng)平臺(tái)設(shè)計(jì)。
為實(shí)現(xiàn)運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取,保證訓(xùn)練參數(shù)的準(zhǔn)確提取,需要建立運(yùn)動(dòng)視頻影像全局運(yùn)動(dòng)坐標(biāo)系模型。在對(duì)運(yùn)動(dòng)視頻圖像進(jìn)行描述和處理的過(guò)程中,需要考慮運(yùn)動(dòng)視頻中目標(biāo)對(duì)象以及拍攝攝像機(jī)所在三維坐標(biāo)系、運(yùn)動(dòng)視頻圖像所處的二維坐標(biāo)系。在進(jìn)行運(yùn)動(dòng)視頻參數(shù)自適應(yīng)提取的過(guò)程中,利用坐標(biāo)變換的方法構(gòu)建運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)模型,實(shí)現(xiàn)對(duì)運(yùn)動(dòng)視頻中目標(biāo)對(duì)象在其所處的三維坐標(biāo)系下訓(xùn)練參數(shù)的提取。本文設(shè)計(jì)的系統(tǒng)在進(jìn)行訓(xùn)練參數(shù)自適應(yīng)提取過(guò)程中,設(shè)定視頻的拍攝攝像機(jī)采用針孔模型,通過(guò)將運(yùn)動(dòng)視頻中目標(biāo)對(duì)象三維坐標(biāo)系和拍攝攝像機(jī)所在三維坐標(biāo)系重合,坐標(biāo)系中,坐標(biāo)原點(diǎn)為攝像機(jī)的光心,[z]軸表示光軸,[x]軸為水平方向,[y]軸為垂直方向。攝像機(jī)將目標(biāo)對(duì)象所處的三維空間點(diǎn)[(X,Y,Z)]映射到二維空間平面點(diǎn)[(x,y)]上,設(shè)定攝像機(jī)的焦距為[f],圖像平面與[z]軸垂直,中心坐標(biāo)為[(0,0,f)],則映射關(guān)系可以表示為:
[x=fXZ, y=fYZ] (1)
攝像機(jī)在位移運(yùn)動(dòng)模型下的坐標(biāo)變換關(guān)系可以表示為:
[xy=xy+txty] (2)
式中[tx]和[ty]表示攝像機(jī)分別向[x]軸和[y]軸的位移。
為保證對(duì)象所處的世界坐標(biāo)系轉(zhuǎn)換到圖像所處的二維坐標(biāo)系轉(zhuǎn)換的準(zhǔn)確度,提高提取精度,本文在位移運(yùn)動(dòng)模型的基礎(chǔ)上引入仿射變換的模型,坐標(biāo)變換關(guān)系表示為:
[x=a1x+a2y+a3y=a4x+a5y+a6] (3)
式中:[a1]和[a5]表示縮放變換;[a2]和[a4]表示視頻的旋轉(zhuǎn)變換;[a3]和[a6]表示視頻的位移變換。則誤差函數(shù)表示為:
[H(a)=x,y(x-x)2+(y-y)2] (4)
式中[x]和[y]分別表示轉(zhuǎn)換過(guò)程中在[x]軸和[y]軸的誤差。
將式(3)代入式(4)得:
[H(a)=x,y(a1x+a2y+a3-x)2+(a4x+a5y+a6-y)2]
(5)
則最優(yōu)全局運(yùn)動(dòng)參數(shù)即是式(5)達(dá)到最小的矢量[a],即:
[aopt=argminaE(a)] (6)
上述論述中,通過(guò)坐標(biāo)變換關(guān)系建立運(yùn)動(dòng)視頻影像全局運(yùn)動(dòng)坐標(biāo)系模型,確定運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取的目標(biāo)函數(shù),完成對(duì)運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取,提高訓(xùn)練參數(shù)自適應(yīng)提取的質(zhì)量,保證提取的準(zhǔn)確度。
針對(duì)運(yùn)動(dòng)視頻圖像,利用Matlab實(shí)現(xiàn)訓(xùn)練參數(shù)自適應(yīng)提取的實(shí)驗(yàn),實(shí)驗(yàn)過(guò)程中選用美國(guó)麻省理工大學(xué)數(shù)據(jù)庫(kù)中一組跑步視頻作為實(shí)驗(yàn)數(shù)據(jù)。
通過(guò)對(duì)比視頻中任意一幀中目標(biāo)的提取效果,從而實(shí)現(xiàn)對(duì)本文所提方法的提取性能分析,通過(guò)實(shí)驗(yàn)得到本文方法對(duì)運(yùn)動(dòng)視頻中目標(biāo)的提取結(jié)果,如圖3所示。
通過(guò)圖3可以看出,本文所提方法設(shè)計(jì)的系統(tǒng)能夠?qū)\(yùn)動(dòng)視頻中的目標(biāo)進(jìn)行提取,且提取的精度較高,為視頻圖像中訓(xùn)練參數(shù)的提取提供依據(jù),保證了運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)提取的質(zhì)量。由于本文所提方法設(shè)計(jì)的系統(tǒng)在提取過(guò)程中重點(diǎn)考慮運(yùn)動(dòng)視頻中目標(biāo)對(duì)象以及拍攝攝像機(jī)所在三維坐標(biāo)系、運(yùn)動(dòng)視頻圖像所處的二維坐標(biāo)系之間的變換關(guān)系,提高了提取的精度。
采用本文所提方法設(shè)計(jì)的系統(tǒng)在對(duì)運(yùn)動(dòng)視頻進(jìn)行處理輸出后,視頻中任意一幀圖像進(jìn)行三維點(diǎn)云變換,得到的三維點(diǎn)云圖像如圖4所示。
通過(guò)圖4可以看出,本文所提方法設(shè)計(jì)的系統(tǒng)處理圖像后在三維點(diǎn)云變換后圖像波動(dòng)幅度較小,圖像較為平滑,說(shuō)明本文所提方法設(shè)計(jì)系統(tǒng)能夠有效對(duì)運(yùn)動(dòng)視頻進(jìn)行處理,保證處理后視頻圖像的質(zhì)量。由于本文所設(shè)計(jì)的系統(tǒng)在構(gòu)建框架時(shí)對(duì)系統(tǒng)中自定義IP核以及XPS軟件中IP核進(jìn)行設(shè)計(jì),降低了視頻中存在的噪聲,提高了視頻的質(zhì)量。
對(duì)圖像自適應(yīng)提取的效果進(jìn)行分析,通過(guò)實(shí)驗(yàn)得到本文方法的自適應(yīng)提取效果。為保證對(duì)系統(tǒng)性能分析的準(zhǔn)確性,實(shí)驗(yàn)中引入增量非負(fù)矩陣分解實(shí)現(xiàn)運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取的方法,將本文所提系統(tǒng)的提取效果與其進(jìn)行對(duì)比,分析結(jié)果如表3所示。
通過(guò)表3可以看出,本文所提方法設(shè)計(jì)的系統(tǒng)進(jìn)行運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)自適應(yīng)提取,提取的能耗較小,花費(fèi)的時(shí)間較少,提取的速度較快,運(yùn)動(dòng)視頻訓(xùn)練參數(shù)提取過(guò)程中丟包率較低,說(shuō)明本文所提方法能夠?qū)\(yùn)動(dòng)視頻中每一幀圖像進(jìn)行提取,提取的參數(shù)數(shù)量較多,對(duì)運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)的提取較為精確。
綜上所述,本文所提方法設(shè)計(jì)的系統(tǒng)能夠準(zhǔn)確對(duì)運(yùn)動(dòng)視頻中訓(xùn)練參數(shù)進(jìn)行提取,提取的效果較好,且提取的速度較快。
參考文獻(xiàn)
[1] 張博研,李廣澤,武星星.Quickbird遙感影像的車輛自動(dòng)檢測(cè)與運(yùn)動(dòng)參數(shù)估計(jì)[J].液晶與顯示,2015,30(4):687?694.
ZHANG B Y, LI G Z, WU X X. Speed estimation and automatic detection of moving vehicle from Quickbird satellite images [J]. Chinese journal of liquid crystals and displays, 2015, 30(4): 687?694.
[2] MOREIRA S R, TEIXEIRA?ARAUJO A A, OLIVEIRA D S A, et al. Ten weeks of capoeira progressive training improved cardiovascular parameters in male practitioners [J]. Journal of sports medicine & physical fitness, 2016, 57(3): 157?161.
[3] 呂霞付,王博化,陳俊鵬.基于位平面分解方法的運(yùn)動(dòng)模糊圖像PSF參數(shù)辨識(shí)[J].半導(dǎo)體光電,2016,37(3):449?454.
L? X F, WANG B H, CHEN J P. PSF parameter identification of motion blur images based on bit?plane segment decomposition [J]. Semiconductor optoelectronics, 2016, 37(3): 449?454.
[4] 于蕭榕,席屏,黃健榮.監(jiān)控系統(tǒng)預(yù)警視頻的分布式檢索設(shè)計(jì)與實(shí)現(xiàn)[J].計(jì)算機(jī)測(cè)量與控制,2015,23(7):2511?2514.
YU X R, XI P, HUANG J R. Design and implementation of distributed retrieval in video monitoring system [J]. Computer measurement & control, 2015, 23(7): 2511?2514.
[5] HOYO M D, SA?UDO B, CARRASCO L, et al. Effects of 10?week eccentric overload training on kinetic parameters during change of direction in football players [J]. Journal of sports sciences, 2016, 34(14): 21?25.
[6] 許琳娟,劉春晶,曹文洪,等.非均勻推移質(zhì)運(yùn)動(dòng)參數(shù)提取[J].中國(guó)水利水電科學(xué)研究院學(xué)報(bào),2016,14(2):138?143.
XU L J, LIU C J, CAO W H, et al. Extraction of non?uniform bedload movement parameters [J]. Journal of China institute of water resources and hydropower research, 2016, 14(2): 138?143.
[7] 鄒洪中,許悅雷,馬時(shí)平,等.基于視皮層V1模型的隨機(jī)點(diǎn)視頻序列運(yùn)動(dòng)特征提取[J].計(jì)算機(jī)應(yīng)用,2016,36(6):1677?1681.
ZOU H Z, XU Y L, MA S P, et al. Motion feature extraction of random?dot video sequences based on V1 model of visual cortex [J]. Journal of computer applications, 2016, 36(6): 1677?1681.
[8] 楊耀權(quán),楊朔.基于數(shù)字圖像處理的單條輸電線路曲線提取[J].科學(xué)技術(shù)與工程,2016,16(28):90?94.
YANG Y Q, YANG S. Curve extraction of single transmission line based on digital image processing [J]. Science technology and engineering, 2016, 16(28): 90?94.
[9] 朱敏,蘇博.三維人工視覺(jué)圖像特征提取仿真研究[J].計(jì)算機(jī)仿真,2016,33(7):261?264.
ZHU M, SU B. Three?dimensional artificial visual image feature extraction simulation research [J]. Computer simulation, 2016, 33(7): 261?264.
[10] 李榮,徐燕華.基于視覺(jué)信息的圖像特征提取算法研究[J].電子設(shè)計(jì)工程,2016,24(9):188?190.
LI R, XU Y H. Research on the extraction algorithm of image feature based on visual information [J]. Electronic design engineering, 2016, 24(9): 188?190.